[정보통신] vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
페이지 정보
작성일 20-10-15 22:33
본문
Download : watch소스.hwp
단락마다 따로 복사해서 옴겨 컴파일하시면됩니다





l_mode : in std_logic_vector(1 downto 0);
mode : in integer range 4 downto 0;
sw_f2 : in std_logic;
seg_ten : out std_logic_vector (6 downto 0);
seg_data : out std_logic_vector (7 downto 0);
end watch_seg;
sun : in std_logic;
vhdl을 이용한 디지털시계 소스 lcd,led,도트매트릭스,스탑워치,수정기능
sw_f2 : in std_logic;
architecture a of watch_seg is
sw_f1 : in std_logic;
e, rw : out std_logic;
library ieee;
sw_f1 : in std_logic;
seg_com : out std_logic_vector (7 downto 0);
component dec2seg1
sw_mode : in std_logic;
구매하시분중 궁금한거나 ptreference(자료)가 필요하시면 redmoonsky77@hanmail.net<<<멜 주시면 reference(자료)랑 답변 드립니다
여러가지 기능을 포함한 디지털시계 소스입니다
순서
led_mode : out std_logic_vector (3 downto 0);
end component;
minute : out integer range 59 downto 0;
dot_horizon : out std_logic_vector (0 to 13);
레포트 > 공학,기술계열
rs_out : out std_logic;
port(number : in integer range 23 downto 0;
설명
use ieee.std_logic_1164.all;
seg_one : out std_logic_vector (6 downto 0));
port (clk : in std_logic;
second : out integer range 59 downto 0;
hour : out integer range 23 downto 0;
data : out std_logic_vector(7 downto 0);
Download : watch소스.hwp( 97 )
[정보통신] vhdl을 이용한 디지털시계 소스(lcd,led,도트매트릭스,스탑워치,수정기능)포함 완벽
dot_vertical : out std_logic_vector (0 to 9));
여러가지 기능을 포함한 디지털시계 소스입니다 컴파일 이상없구요 구매하시분중 궁금한거나 pt자료가 필요하시면 redmoonsky77@hanmail.net<<<멜 주시면 자료랑 답변 드립니다 단락마다 따로 복사해서 옴겨 컴파일하시면됩니다 *주의사항(컴파일시 엔티티 이름과프로젝트 네임이 같아야합니다)
port (clk : in std_logic;
컴파일 이상없구요
component watch
entity watch_seg is
sec_hun : out integer range 99 downto 0);
*주의사항(컴파일시 엔티티 이름과프로젝트 네임이 같아야합니다)
다.